Nanoimprint Finally Finds Its Footing

Technology and business issues mean it won’t replace EUV, but photonics, biotech and other markets provide plenty of room for growth.

popularity

Nanoimprint lithography, which for decades has trailed behind traditional optical lithography, is emerging as the technology of choice for the rapidly growing photonics and biotech chips markets.

First introduced in the mid-1990s, nanoimprint lithography (NIL) has consistently been touted as a lower-cost alternative to traditional optical lithography. Even today, NIL potentially is capable of matching current EUV dimensions, yield, and throughput using fewer process steps and significantly lower capital equipment costs.

NIL differs from optical lithography by directly transferring images onto silicon wafers and other substrates using copies of a master stamp patterned by an e-beam system. A low-viscosity resist is deposited onto a substrate by jets, similar to how an inkjet printer works. Then, the patterned stamp (mask) is pressed into the surface of the resist, and the fluid flows into the pattern by capillary action. UV radiation crosslinks the thermoset and the mask is removed, leaving behind the patterned resist on the substrate.

The downside comes with alignment on multiple metal layers, which is the main advantage of optical lithography. The process of pressing the mold used in NIL into the resist can cause distortions or deformations, leading to misalignments between the different layers. Leading-edge semiconductors can have more than two dozen layers, each aligned precisely with the layer below to ensure accurate and reliable chip performance. This is especially problematic for advanced semiconductor nodes, where the feature sizes are shrinking below 10nm. Tolerances for overlay alignment at those dimensions is extremely stringent.

“Nanoimprint is the ideal lithography tool for nano-structure definition that does not require alignment, or more accurately does not require many layers of alignment,” says Theodor Nielsen, CEO at NIL Technology. “NIL is efficient, fast, and the CapEx needed is significantly less than needed to use steppers. But steppers are superior when many lithography steps in registration with each other are needed.”

This uniformity of features at sub-10nm is a major strength for photonics. Another is pattern flexibility. Photonic devices rely on the nanoscale manipulation of light by the pattern and frequency of surface structures on a substrate. NIL can be used to create a variety of three-dimensional (3D) nanostructures with a single impression, enabling unique optical properties for applications in advanced photonic devices.


Fig. 1: Schematic of EVG’s SmartNIL process consisting of two steps — working stamp fabrication and imprint. Both steps are carried out in the same tool. Source: EV Group

NIL has a number of advantages over conventional optical lithography, including EUV. Among them:

  • It can reproduce feature sizes below 5nm with greater resolution and lower line-edge roughness (LER);
  • Because the entire process avoids the need for an array of lenses and the extreme amount of power required for light sources, NIL is significantly less expensive to operate;
  • It requires fewer process steps, and
  • It is much more compact than EUV systems, so multiple machines can be clustered together to increase throughput.

Nevertheless, NIL has yet to find its way into production lines for semiconductor manufacturing due to a variety of technological, financial and logistical barriers. As early as 2008, researchers demonstrated cost-effective NIL production below 45nm, and current NIL technology can print at sub-10nm dimensions with alignment accuracy as low as 2nm.

Part of this is due to the cost of adding another lithography technology into the fab. Existing investments in lithography equipment are enormous, and industry standardization on optical scanners makes it even harder to displace. While it may be less expensive to use NIL for patterning some layers, it’s a technology that uses a different process on additional equipment with materials that differ from those used by optical systems. Any new process or material added to the work flow increases complexity, time, and resources, and that increases costs and reduces throughput. It’s more than just the cost of the process. It’s all the associated costs of adding an additional process step.

“If you can already do something with standard lithography, and there is a lot of capacity out there, then those lines will be running these resolutions,” says Thomas Uhrmann, director of business development at EV Group. “In order to spread nanoimprint lithography further, it will take new applications that don’t yet have established manufacturing processes. The application drives the technology, and the technology enables the application.”

The photonics revolution
Enter photonics, a burgeoning industry driven by increasing global demand for light-enabled systems. Photonics components use fewer layers than traditional chips, but they are essential for a variety of products and services, including telecommunications, data networks, biophotonics, consumer electronics, automotive, and others. These vertical markets rely heavily on optics and photonics components, such as LEDs and laser chips, optical glass, detectors and image sensors, lenses, prisms, optical filters, gratings, optical fibers and much more.

This creates a huge opportunity for NIL. The global market for light-enabled systems is about $1.4 trillion today, and is expected to reach nearly $2 trillion by 2025, according to McKinsey. While photonics components represent about 9% of this total share, or roughly $120 billion, the market for components is growing much faster than the overall systems themselves, at 10% vs. 6% CAGR. This is due to the increase in applications and the proliferation of photonics components in those systems.

It also plays into NIL’s strength, which is its ability to create high-resolution nanostructures with excellent reproducibility and scalability on different substrates. NIL offers a cost-effective and efficient way to fabricate complex nanostructures below 10nm, which is critical for fabricating small scale photonics devices such as photonic crystals, waveguides, and grating couplers. The technology also enables the fabrication of photonic components with highly uniform and detailed sub-wavelength features, allowing for enhanced light-matter interactions and improved device performance.

“Wavelength is very unforgiving,” says Uhrmann. “Slight variations in photonics can play a huge role in their performance, especially when you look at line-edge roughness on the structures. With NIL, once you have one template, which is proven tested, and you replicate this template, then the whole wafer will have exactly 100% the same specifications. For applications like augmented reality, it’s a big, big asset.”


Fig. 2: NIL photonics application examples demonstrating process capability for nano- and micro-structures as well as complex shaped structures. Source: EV Group

“In photonics, you often have these small feature sizes, and if you were to produce those features with optical litho, the costs would be significantly higher than with NIL,” says Patrik Lundström, CEO of Obducat Group. “The cost efficiency of the NIL technology is one of the key advantages for photonics. Furthermore, the use of optical resists and the actual formation of the structures in the resist material, as well as repeatability of the substrate-to-substrate, is much easier to do with NIL compared to optical litho.”

The ‘actual formation’ of the structures is an important distinction for NIL. Unlike optical lithography, which patterns a resist to pattern silicon for an application, NIL creates structures directly on the substrate material without the need for etching. This enables imprinting extremely fine circuitry on a wide variety of surfaces that may be unsuitable for optical systems.

“NIL has very strong advantages in terms of flexibilities in the material choices you can imprint,” says Eleonora Storace, program manager for nanoimprint lithography at imec. “It is substrate agnostic. You can imprint basically on any type of substrate, such as metal, or high refractive index glasses or other transparent surfaces that would be more complicated with optical lithography.”

There is also no pattern field limit for NIL, making it highly adaptable to the diverse and less standardized photonics market. Full-field UV-NIL, in particular, allows for printing patterns over large areas without stitching errors. This technique supports various structure sizes and shapes, including 3D, and even can be used on high-topography surfaces, which is a crucial requirement for many photonic devices.

The diversity and lack of standardization in the relatively new and fast-growing market also can be a significant challenge for companies looking to adopt NIL technology for patterning their new photonics applications — especially since NIL does not yet have a mature technology ecosystem.

To help meet the growing demand for NIL equipment in the photonics industry, NIL technology companies are forming alliances with materials suppliers to help incubate new ideas. EV Group (EVG), for example, created a Photonics Competence Center to support new solutions in the industry, and has announced multiple agreements with materials suppliers like Toppan Photomask and Taramount to provide master templates and new packaging solutions. Just this month, EVG announced a new agreement with Notion Systems to develop inkjet coating capabilities. These collaborations aim to establish NIL as an industry standard production process for photonics manufacturing.

There are still a number of challenges facing NIL in the photonics market, including the lack of an established materials ecosystem. While the availability of materials and consumables is improving, there are still gaps that need to be addressed.

“The ecosystem has improved enormously in the past decade,” says imec’s Storace. “There is a good level of maturity for those suppliers that can potentially deliver in significant quantities to support a foundry, and they’re getting there, but the two things go hand-in-hand. As long as there is not a critical mass of customers placing orders, then the supply chain is not going to develop itself.”

Still, this is improving. “In the last two years there has been broad progress on the material side, with many new materials being launched, and we know that there is more under development,” adds Lundström. “We also see that the master template supply chain is developing well and a number of well-established companies in the semiconductor field are entering this area, which will bring benefits in terms of availability of reliable suppliers.”

The photonics back door to IC manufacturing
The success of NIL in the photonics market has generated renewed interest in its potential application in semiconductor foundries for silicon photonics fabrication. Silicon photonics devices require precise and complex optical structures that typically are challenging to fabricate using traditional optical lithography, especially at the smallest nodes. The larger numerical aperture for EUV reduces its depth-of-field to just a few hundred nanometers. But NIL, with its high-resolution patterning at the nanoscale, enables the fabrication of complex and miniaturized optical structures that are critical for silicon photonics devices. NIL also can be integrated with existing semiconductor manufacturing processes.

“These technologies are very complementary to each other and they can co-exist very smoothly,” says Storace. “The challenge is to bring those two worlds, from a processing standpoint. This is what we are doing at imec. We have a CMOS fab, and we have our NIL tool embedded in that fab so we can leverage all the expertise of the people who work in both technologies to come up with new process flows that enable the creation of a complete product.”

Another opportunity for NIL in semiconductor manufacturing is in 3D NAND flash memory chips. NAND flash memory is made up of a series of memory cells that can be arranged in a two-dimensional array. Each memory cell consists of a single transistor and a floating gate, which stores data as either a 0 or a 1. The transistor controls the flow of current between the memory cell and the rest of the circuit. The simplicity of the NAND flash memory structure makes it a good candidate for NIL manufacturing.

Canon Nanotechnologies is betting big on 3D NAND flash for its NIL manufacturing technology. The company currently has test equipment in place at SK Hynix and in Kioxia’s (formerly Toshiba) fabrication facility and plans to begin mass producing 3D NAND flash using NIL by 2025. Canon also is building a new $357 million factory in Utsunomiya, north of Tokyo, to double the production of its lithography equipment, including NIL.

The main challenge for Canon’s goals continues to be alignment, especially near the wafer edge, though the company believes it has largely resolved the alignment issue with a through-the-mask (TTM) alignment system coupled with its High Order Distortion Correction (HODC) system.
Canon’s approach measures nanometer-level deviations between the wafer and the mask in real time using moiré patterns with proprietary control technologies (Figure 3). This is a common approach used by most NIL tool manufacturers, but the process of physically pressing the master to the substrate and heating the resist causes micro distortions in the wafer that can affect alignment in subsequent layers. Rather than seeking to avoid these distortions, Canon’s HODC technology corrects for them using laser irradiation modulated by a digital mirror device (DMD). The laser thermally deforms the wafer and mask (Figure 4), and because of the difference in thermal expansion coefficient, distortion corrections can be made.


Fig. 3: TTM scope enabling the measurement of the positional deviations between the mask and wafer in real time. Source: Canon


Fig. 4: Proprietary matching system. Source: Canon

“We are now meeting all the requirements for overlay precision in 3D NAND Flash,” says Doug Resnick, vice president of marketing and business for Canon Nanotechnologies. “We have already achieved 1.8nm overlay accuracy on a closed system, and 2.3nm on mix-and-match overlay.”

New opportunities for NIL
Beyond photonics and semiconductors, the use of NIL in broader material science fields is growing quickly. NIL has expanded to include actuation of smart materials, enhancement of filtration membrane performance, augmented reality, sensor technology, biomedical products, and genome sequencing.
Augmented reality and 3D sensing are definitely hot topics for NIL right now,” says Uhrmann. “For applications like fingerprint sensors or spectral sensors, you need micro-optical elements. Other applications include metal lenses and metal optics, but where its actually taking off now with substantial volume is for genome sequencing.”

The process for genome sequencing involves passing nucleotides through nanometer scale nanopores using capacitance variation from an external voltage. Each genomic type of nucleotide will generate a blocked ionic current with unique magnitudes, and the electrostatic charge distribution of each type can be measured to determine their sequence on the chain.

Manufacturing these nanopores were originally accomplished by organically growing them on a substrate, but getting consistency in their sizes was a challenge. NIL solves this challenge by printing consistent, evenly spaced nanopores in the material at high speed, significantly reducing the costs associated with genome sequencing. This has rapidly become the technique of choice for genome testing companies and labs.


Fig. 5: The process of producing perforated nanopores in a freestanding polymer membrane via NIL and polymer reflowing. Source: Creative Commons

Conclusion
While nanoimprint lithography has been around for several decades, it is only just now finding widespread adoption as a production level manufacturing tool. Originally targeted for semiconductor fabrication, its adoption has been limited by challenges in overlay alignment, throughput and defectivity. Instead, NIL has been adopted by other industries where single layer, or limited layer imprinting is an asset rather than a hindrance.

Photonics components, in particular, are taking advantage of the nanometer-level capabilities of NIL without the stochastic or line edge roughness challenges of optical lithography. Other applications, such as biomedical and genome sequencing, are also adopting NIL manufacturing to bring their products to market at much lower costs than other manufacturing techniques.



2 comments

Nikolay says:

I’d like to know if NIL will be beneficial in photonics applications as resolution needed for photonics is far below NIL capability. Typical waveguides in POC optical chips and quantum optical qubits are on the order of one micron.

On the other hand, biosensors and other hybrid devices that do no need 3D integration (such as ones using graphene, CNT nanowires etc.) would greatly benefit from NIL’s high resolution.

Apex says:

As the AI sector expands and use cases increase if cannon and other NIL manufacturing can continue to fortify its supply chain NIL will dominate in the AI sector that utilizes its ability and strengths into new market opportunities.

Leave a Reply


(Note: This name will be displayed publicly)