Photomask Shortages Grow At Mature Nodes

Aging equipment and rising demand are pushing up prices and slowing production.

popularity

A surge in demand for chips at mature nodes, coupled with aging photomask-making equipment at those geometries, are causing significant concern across the supply chain.

These issues began to surface only recently, but they are particularly worrisome for photomasks, which are critical for chip production. Manufacturing capacity is especially tight for photomasks at 28nm and above, driving up prices and extending delivery times.

It’s unclear how long this situation will last. Photomask makers are expanding capacity to meet demand, but it’s not that simple. Mask-making for mature nodes involves older equipment, much of which is becoming obsolete. To replace dated photomask tools, the industry may need to invest from $1 billion to $2 billion in new equipment over the next decade, according to Toppan. Some equipment vendors are building new mask tools for mature nodes, but they are more expensive.

Masks serve as master templates for chip designs. In the process flow, an IC vendor designs a chip, which is then translated into a file format. Then, in a photomask facility, a mask is fabricated based on that format. The mask is then shipped to the fab and placed in a lithography scanner. The scanner projects light through the mask, which patterns the images on a chip.

There are two types of photomask makers—captive and merchant. Intel, Samsung, TSMC and other chipmakers are captive mask makers, producing leading-edge masks at 16/14nm and below. Some captives, namely TSMC, make masks at mature nodes. Device makers with captive mask-making operations produce photomasks to meet internal requirements.

Merchant mask makers, which manufacture photomasks for outside customers, produce masks at mature nodes and advanced nodes in some cases.

Demand for photomasks reflects the state of the semiconductor industry. For some time, the industry has seen unprecedented demand for chips. This, in turn, is fueling demand for all mask types, especially those at mature nodes.

“This is at 28nm and above, and it will continue,” said Bud Caverly, vice president of marketing, planning and operations support at Toppan, a merchant mask supplier. “Not every application can afford nor needs to go to 3nm technology. Overlay that with the current demand situation today, and we have wafer fabs and photomask operations sold out in many locations and nodes. We’ve seen shortages. We need more fabs, and those will need more photomasks.”

To meet demand, several captive mask makers are expanding their manufacturing capacities. But while the captives can afford to invest in new capacity and advanced equipment, merchant mask makers are facing capital and tool investment challenges.

“Increased specialty device demand is driving demand for larger-node photomasks. These mature mask nodes represent approximately 88% of the total demand for photomasks (>450,000 units forecasted in 2022). This unit volume is driving very high capacity in worldwide mask operations, especially in the commercial mask industry,” said Michael Archuletta, manager of sales and marketing at Bruker. “Many merchant mask suppliers are operating with a fleet of aging manufacturing systems, and in some cases their obsolete tools are becoming unrepairable. This equipment needs replacement. Unfortunately, history shows mature technology node masks command low sales prices, resulting in minimal profit margins. This means there is limited capital investment funding available for new equipment.”

Fig. 1: A photomask. Source: Wikipedia

Fig. 2: A schematic illustration of a photomask (top) and an integrated circuit created using that mask (bottom) Source: Wikipedia

Fig. 2: A schematic illustration of a photomask (top) and an integrated circuit created using that mask (bottom) Source: Wikipedia

Market dynamics
In total, the photomask industry grew from $4.4 billion in 2020 to $5.0 billion in 2021, according to Inna Skortsova, an analyst at SEMI. In 2022, the photomask market is expected to reach $5.2 billion, according to SEMI’s Materials Market Data Subscription service.

DNP, Photronics and Toppan are the largest merchant mask makers. Other merchants include Compugraphics, Hoya and Taiwan Mask.

For mature and some advanced nodes, the industry uses optical-based photomasks. Measuring 6 x 6 inches and ¼-inch thick, an optical-based photomask consists of an opaque layer of chrome on a glass substrate. For more complex optical masks, molybdenum silicide (MoSi) is used instead of chrome. The material on the glass substrate is called the absorber layer.

These mask types are used in optical lithography systems. In these systems, light is generated and then guided through a set of projection optics. Light is then projected through the mask and onto the wafer coated with photoresist, creating tiny patterns on the chips. Today’s most advanced optical lithography tools use light sources with 193nm wavelengths.

The photomask plays a key role here. “The photomask, also called a reticle or just a mask, contains what you want to print on the wafer,” explained Chris Mack, CTO of Fractilia, in a video presentation. “It has opaque regions where we want to block the light, and is transparent where we want the light to go through. The opaque regions are typically made of chrome or MoSi.”

Each mask contains the patterns of one or more dies, depending on the size of the chip. In many cases, a chip design has several complex features. It takes more than one mask to print all of the features on the wafer.

“We have lots of lithography steps that build up all of the patterns of transistors, metallization and contact holes. They are used to make up these complicated integrated circuits,” Mack said. “We need lots of photomasks—at least one for every lithography layer. 180nm node devices need about 25 masks. 32nm node devices need about 50 masks. And 16nm node devices requires about 75 masks to make an integrated circuit.”

If a chip requires 75 separate masks, together they make up a “mask set.” In a mask set, some of the masks have more advanced features, called the critical layers. Other masks in the set consist of non-critical layers.

There are several types of optical masks, such as binary and phase-shift masks (PSMs). In binary masks, chrome is etched in select places, which exposes the glass substrate. The chrome materials aren’t etched in other places. In operation, light hits the mask and goes through the areas with the glass, which exposes the wafer. Light doesn’t pass through the areas with the chrome.

PSMs also are used today. “There are many flavors of PSM, but they work by using phase to cancel light where you don’t want it, thus producing a higher contrast image,” Mack said.

Fig. 3: A schematic illustration of various types of masks: (a) a conventional (binary) mask; (b) an alternating phase-shift mask; (c) an attenuated phase-shift mask. Source: Wikipedia

Fig. 3: A schematic illustration of various types of masks: (a) a conventional (binary) mask; (b) an alternating phase-shift mask; (c) an attenuated phase-shift mask. Source: Wikipedia

Using various mask types and other technologies, today’s most advanced 193nm lithography scanners are capable of patterning chips down to 7nm. But 193nm-based optical lithography becomes too complex at 5nm.

So at 7nm and beyond, chipmakers use extreme ultraviolet (EUV) lithography. Using a 13.5nm wavelength, EUV scanners can resolve 13nm features.

EUV requires a different photomask technology. Unlike optical masks, which are transmissive, EUV masks are reflective. An EUV mask consists of thin alternating layers of silicon and molybdenum on a substrate. On the multi-layer stack, the mask consists of a ruthenium capping layer, followed by a tantalum absorber material.

Today’s EUV masks are based on a binary format. The industry is developing EUV PSMs for 3nm and beyond.

Mature mask and tool shortfalls
To manufacture EUV masks, the industry requires several types of new and advanced equipment. Over the years, the industry has poured billions of dollars in funding to develop EUV-based mask equipment as well as scanners, resists and other technologies.

For years, however, older mask equipment for mature nodes was generally neglected. That began to change around 2016, when rising demand for analog, RF and other chip types caused shortages for mature nodes in both 200mm and 300mm fabs.

300mm fabs are used to manufacture chips at leading-edge nodes (16nm/14nm and below) and trailing edge nodes (130nm/110nm to 28nm/22nm). 200mm fabs manufacture devices at mature process technologies, ranging from the 6µm to the 110nm node. (A node refers to a specific process and its design rules.)

Nonetheless, demand for chips at mature nodes spiked in 2017 and 2018, causing acute shortages of trailing-edge foundry capacity. That was a worrisome sign for mask makers. In fact, during a presentation in 2018, Franklin Kalk, executive vice president of technology at Toppan, warned that the mask industry was unprepared for an onslaught of demand for mature nodes.

At the time, photomask makers mainly used older masks tools for mature nodes, some of which were becoming obsolete. In other instances, some equipment vendors stopped supporting older mask tools or went out of business. In that case, the mask vendor supported the tool. Spare parts were hard to find.

Kalk said the industry required new mask tools for mature nodes in all equipment categories, including etchers, inspection systems, mask writers and repair products. At that point, some vendors began to develop new mask tools for mature nodes, but gaps remain.

Meanwhile, demand for chips at mature nodes continue to skyrocket. From 2018 until today, worldwide foundry capacity has remained tight for mature nodes.

“In the last few years, there has been a surge in demand for a wide variety of chips that are made on 200mm and mature CMOS technology nodes ≥28nm, whether that’s on conventional CMOS, bipolar CMOS DMOS (BCD), or RF-SOI based process platforms,” said David Haynes, managing director of strategic marketing at Lam Research. “These devices include microcontrollers, power management ICs, display driver ICs, and RF.”

Today, chip demand is strong across the board. For example, the 28nm planar products still constitute one of the largest markets by node. UMC, for one, saw a 75% revenue jump for its 28nm technology in its most recent quarter. “The 75% year-over-year revenue increase reflected robust chip demand related to 5G, IoT, and automotive,” said Jason Wang, UMC’s co-president.

Other nodes are also in demand. “If you look at where fabs are being built by node, it’s not just 3nm. Almost every node is adding capacity in some form,” Toppan’s Caverly said. “28nm is a high-demand node. At 40nm to 65nm, you are seeing advanced RF, mixed-signal and a sweet spot on certain logic. You are also seeing activity in the 110nm to 130nm range, which is general-purpose, mixed-signal and analog-type products.”

All of this activity fuels demand for more photomask capacity. “If you look at the predicted growth of the semiconductor market, it will drive the need for large investment in the photomask market alone,” Caverly said. “We also have a secondary equipment issue. A substantial amount of photomask equipment is going to be requiring either upgrade of some form, or replacement due to tool or component obsolescence. That’s going to further strain some of these investment needs.”

But even if mask equipment vendors introduced new tools in all product categories, the industry faces other challenges. For example, a new photomask production line for the 65nm node alone is projected to cost $65 million, according to Toppan.

This includes the cost of the tools and maintenance. “The depreciation and maintenance cost alone comes to $3,500 per mask at 65nm. If I add material, labor, and other costs, that total will grow to over $6,000 a mask,” Caverly said. “If I add a normal gross margin to that figure, that result is actually much higher than the 65nm ASP today. The prices have dropped so much that you can no longer afford that investment.”

In addition, a new photomask production line requires mask equipment. “Semiconductor growth will require new photomask tool purchases, which must show sufficient return to warrant that investment,” Caverly said.

Mask process flow
So where are the mask tool gaps for mature nodes? To gain insight, one must look at the mask manufacturing process.

Both advanced and mature masks follow the same basic manufacturing flow. The process starts at a mask blank vendor, which creates a mask blank. An optical mask blank consists of a 6 x 6-inch glass substrate, which is coated with a metallic film and a photosensitizing agent, according to Hoya.

The finished blank is then shipped to a photomask maker, where the mask is made. Here, the blank is patterned, etched, repaired and inspected, creating a mask. Finally, a pellicle is mounted on the mask.

In the patterning step, a light-sensitive photoresist material is coated over the surface of the blank. Then, the blank is patterned using a mask writer tool, based on the desired chip design.

For optical masks, photomask makers use two types of mask writers, e-beam and laser-based tools. E-beam mask writers pattern the critical layers, while laser tools are used for the mature layers.

Today, mask makers are using older and newer e-beam and laser mask writers at mature nodes. Many older tools face obsolescence. Over the next decade, the photomask industry may need to invest about $667 million to replace these older tools with newer systems, according to Toppan.

The good news is that several suppliers have introduced new e-beam and laser tools for mature nodes. For example, NuFlare recently introduced the EBM-8000P/M, a new e-beam mask writer for the 40nm to 25nm nodes. The EBM-8000P/M is a 50kV system with a 400A/cm2 current density.

E-beam mask writers also are used to pattern advanced optical-based masks. For optical mask applications, vendors use single-beam e-beam mask writers, based on variable shape beam (VSB) architectures.

In operation, a blank is inserted in the e-beam tool. “VSB mask writers then use apertures to project shaped electron beams to expose the resist on the mask surface,” said Aki Fujimura, CEO of D2S. “The first aperture is a square, followed by the second aperture, which is either a 90-degree corner or a 45-degree edge.”

Each mask is different. It takes a short period to pattern a simple mask. A complex mask takes a longer time. The industry uses the term “write times,” which indicate how fast an e-beam can write a mask layer.

“In VSB machines, the write time of the machine is dictated by the number of shots needed to expose the mask,” Fujimura said.

Meanwhile, Applied Materials and Mycronic sell laser-based mask writers. Mycronic’s new laser-based mask writer is designed for the 90nm node and above.

“Laser writers use less than 100 beams concurrently to expose the resist on the mask surface,” Fujimura said. “Usually, masks with 130nm ground rules or larger are candidates to be written with laser. The more mature generations of VSB writers are more precise, because an electron beam is more precise. But laser writers are more economical than VSB writers.”

Meanwhile, after the patterning step, the pattern is etched on the mask using an etch tool, resulting in a photomask.

This process is well established, but problems could occur during the flow, causing defects on the mask. There are two types of mask defects—hard and soft. Hard defects are pattern defects. Soft defects are particles that land on the mask.

Both defect types can be catastrophic. When light shines through a mask with defects during the lithographic process, the scanner could print repeating defects on the wafer. This could negatively impact chip yields.

So at various steps, the photomask is inspected for defects using optical-based mask inspection tools. These tools are available, but there is a gap. Mask makers want lower-cost tools here.

“Most mask suppliers report the manufacturing yield of mature node masks at 90% to 95%,” Bruker’s Archuletta said. “At the mature technology nodes, the pattern data tends to be less complex. The absorber materials and etch processes are well understood and less complex. Hard defects associated with pattern errors are few. The major challenge with all mask nodes was and is contamination and particle defects.”

Meanwhile, once the defects are located, mask makers can repair many of these defects. Some defects are unrepairable and the mask is discarded.

To fix the mask defects, photomask vendors use mask repair tools. From the 40nm to the 3nm nodes and beyond, photomask makers use two types of advanced repair tools, e-beam and nanomachining.

Zeiss sells e-beam repair tools. In this tool, the mask is inserted in the system. Inside the tool, an electron beam hits the defect on the mask. The beam interacts with a precursor molecule, which repairs defects.

Bruker sells nanomachining systems, which uses an AFM-based diamond tip to repair mask defects.

For mature nodes at 45nm and above, photomask makers use older mask repair tools, namely focused ion beam (FIB) and laser. An FIB tool generates a beam to repair defects. Meanwhile, Bruker sells laser-based mask repair systems.

“For technology nodes >45nm, the preferred opaque repair technology is laser ablation. Laser repair systems are fast and can be used for hard defect pattern repairs and soft defect particle removal,” Archuletta said. “Some mask shops are still using older FIB tools. But most FIB tools are antiquated, and if not used carefully, they are famous for damaging the mask substrate and the absorber materials.”

Finally, once a production-worthy optical mask is made, a polymer-based pellicle is mounted on the mask. The pellicle covers the mask and prevent particles from landing on it.

Conclusion
To address the needs of mature process nodes, the industry must overcome hurdles associated with masks and equipment obsolescence. While the mask making equipment industry is developing new mask etchers, metrology tools and other gear, the systems come at a higher cost than the tools they replace. This also requires massive investments.

To be sure, chips for mature nodes are in demand with no signs of letting up. The same is true for masks and mask making equipment, a critical but sometimes overlooked part of the industry.

Related Stories

Using GPUs In Semiconductor Manufacturing
How to improve accuracy of what gets printed on a photomask, while accelerating the process

200mm Shortages May Persist For Years
Some 300mm tools are converted to 200mm; equipment prices and chip manufacturing costs are rising.

Chip Shortages Grow For Mature Nodes
Impact felt across many industries, including appliances, smart phones, cars, and industrial equipment.

Gearing Up For High-NA EUV
High-NA EUV scanners could cost nearly $320M each, but big foundries already are lining up.

The Quest For Curvilinear Photomasks
Why this technology is vital for chip scaling, and what problems still need to be resolved.



2 comments

Ken Rygler says:

Mask makers have always faced challenging economics. A few of their dominant equipment suppliers enjoyed near-monopoly prices and attractive margins. Bleeding edge equipment for the most advanced nodes is rarely fully utilized while covering its depreciation is challenging. Tough semiconductor customers’ negotiators and tough competition squeeze prices. Now it appears they must replace nearly obsolete equipment to build mature nodes that typically have low margins. It is not for the faint of heart.

Chris Riches says:

Mask makers have had a tough environment for the past several years. Chip foundries don’t want to pay the true cost of a photomask and forget the enormous cost of investing in new writing tools. Even the cheapest laser writers that can deliver masks at sub 200nm nodes cost around $10 million. Pretty well all merchant and most captive mask houses are using laser writers that are ten or more years old. In Europe, there are none that are less than 15 years old if you discount minority stakeholders like Heidelberg Instruments and Mycronic. The biggest problem is the cutthroat price cutting, each of the merchants are trimming their prices to the point that they hardly cover their costs.

Leave a Reply


(Note: This name will be displayed publicly)